CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - cpld fpga

搜索资源列表

  1. da0832.rar

    0下载:
  2. verilog da0832 cpld\fpga control,verilog da0832 cpld\fpga control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:169227
    • 提供者:zhx
  1. Libra_ps2key_lcd.rar

    0下载:
  2. 用Verilog语言实现的PS2小键盘输入和1602 LCD显示的功能。无需修改,已经调试通过了。直接可以当成一个模块用于FPGA/CPLD系统开发过程。 这个代码是我在Libra环境下开发Actel FPGA时写的。,Verilog language using the PS2 keyboard and a small 1602 LCD display features. No changes have been adopted debugging. Directly as a module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:5877
    • 提供者:赵二虎
  1. slave_spi_ctrl.rar

    0下载:
  2. SPI 的FPGA控制源代码,用于一般通用的SPI技术,FPGA/CPLD控制的AD数据采集,SPI control course code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:602
    • 提供者:luxiaogang
  1. state_machine_watchdog.rar

    0下载:
  2. 基于状态机的CPLD/FPGA看门狗程序 难能可贵,State machine based on the CPLD/FPGA valuable watchdog process
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:649917
    • 提供者:ld
  1. music

    0下载:
  2. 设计并调试好一个能产生”梁祝”曲子的音乐发生器,并用EDA实验开发系统(拟采用的实验芯片的型号可选Altera的MAX7000系列的 EPM7128 CPLD ,FLEX10K系列的EPF10K10LC84-3 FPGA, ACEX1K系列的 EP1K30 FPGA,Xinlinx 的XC9500系列的XC95108 CPLD,Lattice的ispLSI1000系列的1032E CPLD)进行硬件验证。 设计思路 根据系统提供的时钟源引入一个12MHZ时钟的基准频率,对其进行各种分频
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:8680
    • 提供者:lijq
  1. TFTLCD

    1下载:
  2. 基于FPGA的彩屏LCD控制器,800*480,显示彩条,TFT LCD型号AT070TN83-The TFT Lcd controller based on FPGA.The Matrix is 800*480,it can display color bands.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-03-06
    • 文件大小:1024
    • 提供者:willam
  1. 60seconds

    0下载:
  2. 60秒秒表设计,可暂停和分段计数等,所有功能是利用verilog HDL来描述,最后下载到CPLD/FPGA才能运行。-60 seconds stopwatch design, may be suspended and the sub-count
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:333383
    • 提供者:刘三平
  1. 61EDA_C2194

    0下载:
  2. < xilinx ise 9.x fpga cpld设计指南>>, xilinx设计经典中的经典书籍,讲得非常全面.是fpga设计人员不可或缺的书籍-xilinx design classic of the classic books, put it very comprehensive. fpga design is an indispensable book
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4421421
    • 提供者:feifei
  1. O9W5FOcj

    0下载:
  2. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3102411
    • 提供者:shmyg
  1. ch1

    0下载:
  2. cpld/fpga概述以及硬件描述语言设计的一些概念-cpld/fpga outlined as well as the hardware descr iption language design some of the concepts
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:195160
    • 提供者:
  1. UART

    0下载:
  2. 串口通讯 verilog CPLD EPM1270 源代码-Serial Communication verilog CPLDEPM1270 source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:56349
    • 提供者:韩思贤
  1. CPLD_FPGA

    0下载:
  2. 《CPLD_FPGA设计及应用》课件与实例- CPLD_FPGA design and application, with examples of courseware
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-14
    • 文件大小:21743380
    • 提供者:王洪亮
  1. CPLD_Config

    1下载:
  2. 用Altera CPLD做为控制器从Flash上读取image文件对Altera FPGA编程-Altera CPLD used as a controller to read image from the Flash on the Altera FPGA programming
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:3900
    • 提供者:jwq
  1. multi

    0下载:
  2. 基于CPLD/FPGA的十六位乘法器的VHDL实现-Based on CPLD/FPGA multiplier of 16 to achieve the VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:696262
    • 提供者:peter
  1. COUNTER

    0下载:
  2. 对外部输入的高频脉冲信号进行分频,应用于FPGA/CPLD .-External input of high-frequency pulse signal frequency, applies to FPGA/CPLD.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:953
    • 提供者:fsdfe
  1. vhdl_source

    0下载:
  2. MP3 for XPLA3 XILINX.CPLD,必须在XILINX的FPGA芯片下使用,因为IP核是xilinx-MP3 for XPLA3 XILINX.CPLD, must XILINX use of FPGA chip, as is the Xilinx IP core
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:64535
    • 提供者:sq
  1. cnc_cpld_interpolation_design_doc

    1下载:
  2. 一个用cpld实现的数控系统硬件直线插补器的简单方案-CPLD realization of a use of numerical control system hardware Interpolator simple linear program
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-26
    • 文件大小:118516
    • 提供者:zhao
  1. lcd

    0下载:
  2. 使用PS2接口的键盘的小键盘输入,在12864液晶上显示出来,使用平台为CPLD或FPGA-PS2 keyboard interface to use a small keyboard input, in the 12864 liquid crystal display, use the platform for the CPLD or FPGA
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-10
    • 文件大小:1053571
    • 提供者:luojicheng
  1. CPLDheVHDL.RAR

    0下载:
  2. 快速学习cpld/fpga的vhdl软件及硬件教程-Quick Study cpld/fpga software and hardware the VHDL Tutorial
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1689690
    • 提供者:fcw998
  1. learning_cpld_in_5_minutes

    0下载:
  2. 5分钟学会CPLD 是cpld/fpga入门的好材料-5 minutes Society CPLD are cpld/fpga good entry-Materials
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1565322
    • 提供者:李晓东
« 1 2 3 4 5 67 8 9 10 11 ... 27 »
搜珍网 www.dssz.com